Token

ebd3b0e359b3847a9da229a28308ae024638c77d4605aeb705fc7a27f9c80cdb

ID
ebd3b0e359b3847a9da229a28308ae024638c77d4605aeb705fc7a27f9c80cdb
Name
Call_E_SigUSD_ERG_566255777_2023-04-18_per_1
Emission amount
2
Decimals
0
Description
0
Type
EIP-004
Issuer Box
{
  "boxId": "ebd3b0e359b3847a9da229a28308ae024638c77d4605aeb705fc7a27f9c80cdb",
  "transactionId": "b4011c690a5d1f645921e1b7b97fc9e21cf73a44c23e54b0eaa312ab110016c6",
  "blockId": "4e3bc9f33d1b983949a2191095e1ee69f2c1e73cb2bf6610111e9289ef49ee2b",
  "value": 4200000,
  "index": 0,
  "globalIndex": 25691752,
  "creationHeight": 919790,
  "settlementHeight": 919792,
  "ergoTree": "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",
  "ergoTreeConstants": "0: 0\n1: 0\n2: 0\n3: 3\n4: 1\n5: 0\n6: 0\n7: 2\n8: 100\n9: 0\n10: 7\n11: Coll(3,-6,-14,-53,50,-97,46,-112,-42,-46,59,88,-39,27,-69,108,4,106,-95,67,38,28,-62,31,82,-5,-30,-126,75,-4,-65,4)\n12: Coll(48)\n13: 1\n14: 2100000\n15: 1\n16: false\n17: 1\n18: 1\n19: 0\n20: 1\n21: 0\n22: CBigInt(1000000)\n23: 86400000\n24: 2\n25: 1\n26: 86400000\n27: 2100000\n28: 1\n29: 1\n30: 2\n31: 1\n32: 2100000\n33: 1\n34: 9\n35: false\n36: 14400000\n37: 2\n38: 0\n39: 0\n40: 0\n41: 0\n42: 0\n43: 100\n44: 500\n45: 1000\n46: 2000\n47: 4000\n48: 9000\n49: 13000\n50: 20000\n51: 30000\n52: 40000\n53: 50000\n54: 70000\n55: 110000\n56: 140000\n57: 170000\n58: 210000\n59: 250000\n60: 300000\n61: 500000\n62: 1\n63: 0\n64: 1\n65: 0\n66: 1\n67: 4\n68: 4\n69: 1775840000\n70: CBigInt(0)\n71: 5\n72: 1000\n73: 6\n74: 177584000\n75: 10000\n76: 0\n77: Coll(1,29,51,100,-34,7,-27,-94,111,12,78,-17,8,82,-51,-37,56,112,57,-87,33,-73,21,78,-13,-54,-78,44,110,-38,-120,127)\n78: 30\n79: 2\n80: 2\n81: 3\n82: 3\n83: 8\n84: CBigInt(1000)\n85: false\n86: 2\n87: 4\n88: 0\n89: 1\n90: 0\n91: 2\n92: 0\n93: 0\n94: 1\n95: 0\n96: 0\n97: 1\n98: false\n99: 2100000\n100: 1\n101: 1\n102: 1100000\n103: false",
  "ergoTreeScript": "{\n  val coll1 = SELF.tokens\n  val tuple2 = (Coll[Byte](), placeholder[Long](0))\n  val tuple3 = coll1.getOrElse(placeholder[Int](1), tuple2)\n  val box4 = SELF.R7[Box].get\n  val bool5 = (tuple3._1 == box4.id) && (SELF.propositionBytes == box4.propositionBytes)\n  val box6 = if (bool5) { box4 } else { SELF }\n  val prop7 = box6.R9[SigmaProp].get\n  val bool8 = !bool5\n  val box9 = OUTPUTS(placeholder[Int](2))\n  val coll10 = box9.propositionBytes\n  val coll11 = prop7.propBytes\n  val bool12 = coll10 == coll11\n  val coll13 = box6.R8[Coll[Long]].get\n  val l14 = coll13(placeholder[Int](3))\n  val l15 = CONTEXT.preHeader.timestamp\n  val l16 = l14 - l15\n  val coll17 = box9.tokens\n  val tuple18 = coll17.getOrElse(placeholder[Int](4), tuple2)\n  val l19 = tuple18._2\n  val l20 = tuple3._2\n  val bool21 = coll13(placeholder[Int](5)) == placeholder[Long](6)\n  val l22 = coll13(placeholder[Int](7))\n  val l23 = l22 * placeholder[Long](8)\n  val tuple24 = coll17.getOrElse(placeholder[Int](9), tuple2)\n  val l25 = tuple24._2\n  val l26 = SELF.value\n  val l27 = coll13(placeholder[Int](10))\n  val coll28 = tuple24._1\n  val coll29 = box6.id\n  val coll30 = placeholder[Coll[Byte]](11)\n  val coll31 = placeholder[Coll[Byte]](12)\n  val bool32 = if (coll10 == SELF.propositionBytes) {\n    (\n      (\n        (\n          (\n            (((coll28 == coll29) && (l25 >= placeholder[Long](13))) && (box9.value >= placeholder[Long](14))) && (\n              ((bool21 && (tuple18._1 == coll30)) && (l19 >= placeholder[Long](15))) || (!bool21)\n            )\n          ) && (box9.R4[Coll[Byte]].get == SELF.R4[Coll[Byte]].get)\n        ) && (box9.R5[Coll[Byte]].get == coll31)\n      ) && (box9.R6[Coll[Byte]].get == coll31)\n    ) && (box9.R7[Box].get == box6)\n  } else { placeholder[Boolean](16) }\n  val box33 = OUTPUTS(placeholder[Int](17))\n  val coll34 = box6.R5[Coll[Byte]].get\n  val bool35 = l15 <= l14\n  val l36 = box9.value\n  val tuple37 = coll1.getOrElse(placeholder[Int](18), tuple2)\n  val l38 = tuple37._2\n  val coll39 = box33.tokens\n  val tuple40 = coll39.getOrElse(placeholder[Int](19), tuple2)\n  val coll41 = tuple40._1\n  val l42 = tuple40._2\n  val bool43 = coll13(placeholder[Int](20)) == placeholder[Long](21)\n  val bi44 = placeholder[BigInt](22)\n  val bool45 = l15 > l14\n  val bool46 = if (bool43) { (bool5 && bool45) && (l15 < l14 + placeholder[Long](23)) } else { bool5 && bool35 }\n  prop7 && sigmaProp((bool8 && (OUTPUTS.size == placeholder[Int](24))) && bool12) || sigmaProp(\n    (\n      (\n        if ((bool8 && (INPUTS.size == placeholder[Int](25))) && (l16 >= placeholder[Long](26))) {\n          (\n            (\n              bool32 && (\n                ((box9.value >= placeholder[Long](27)) && (box9.R7[Box].get == SELF)) && (\n                  (\n                    ((bool21 && (l19 == l20)) && (l25 == l20 - placeholder[Long](28) / l23 + placeholder[Long](29))) && (coll17.size == placeholder[Int](30))\n                  ) || (((!bool21) && (coll17.size == placeholder[Int](31))) && (l25 == l26 - placeholder[Long](32) / l27 + placeholder[Long](33)))\n                )\n              )\n            ) && (box33.propositionBytes == coll34)\n          ) && (box33.value >= coll13(placeholder[Int](34)))\n        } else { placeholder[Boolean](35) } || if (((!(bool35 && (l15 > l14 - placeholder[Long](36)))) && (INPUTS.size == placeholder[Int](37))) && (\n          CONTEXT.dataInputs.size > placeholder[Int](38)\n        )) {(\n          val box47 = CONTEXT.dataInputs(placeholder[Int](39))\n          val l48 = l20 - l25\n          val l49 = box47.R4[Long].get\n          val l50 = if (bool21) { max(placeholder[Long](40), l49 - l27 * l22) } else { max(placeholder[Long](41), l27 - l49 * l22) }\n          val coll51 = Coll[Long](\n            placeholder[Long](42), placeholder[Long](43), placeholder[Long](44), placeholder[Long](45), placeholder[Long](46), placeholder[Long](\n              47\n            ), placeholder[Long](48), placeholder[Long](49), placeholder[Long](50), placeholder[Long](51), placeholder[Long](52), placeholder[Long](\n              53\n            ), placeholder[Long](54), placeholder[Long](55), placeholder[Long](56), placeholder[Long](57), placeholder[Long](58), placeholder[Long](\n              59\n            ), placeholder[Long](60), placeholder[Long](61)\n          )\n          val coll52 = coll51.map({(l52: Long) => l52 * l52 }).zip(coll51)\n          val i53 = coll52.map({(tuple53: (Long, Long)) => if (tuple53._1 >= l16) { placeholder[Long](62) } else { placeholder[Long](63) } }).indexOf(\n            placeholder[Long](64), placeholder[Int](65)\n          )\n          val tuple54 = coll52(i53 - placeholder[Int](66))\n          val l55 = tuple54._2\n          val tuple56 = coll52(i53)\n          val l57 = tuple54._1\n          val bi58 = l55.toBigInt + tuple56._2 - l55.toBigInt * l16 - l57.toBigInt / tuple56._1 - l57.toBigInt\n          val bi59 = placeholder[Long](67) * coll13(placeholder[Int](68)).toBigInt * l22.toBigInt * l27.toBigInt * bi58 / placeholder[Int](69).toBigInt\n          val bi60 = max(\n            placeholder[BigInt](70), bi59 - bi59 * coll13(placeholder[Int](71)).toBigInt * max(l49 - l27, l27 - l49).toBigInt / placeholder[Long](\n              72\n            ) * l27.toBigInt\n          )\n          val bi61 = if (bool43) { l50.toBigInt + bi60 } else {\n            l50.toBigInt + bi60 + bi60 * coll13(placeholder[Int](73)).toBigInt * bi58 / placeholder[Int](74).toBigInt\n          }\n          val bi62 = l48.toBigInt * bi61 - bi61 % placeholder[Long](75).toBigInt\n          (\n            (\n              (\n                (\n                  (\n                    (\n                      (\n                        (\n                          (\n                            (box47.tokens(placeholder[Int](76))._1 == placeholder[Coll[Byte]](77)) && (HEIGHT <= box47.R5[Int].get + placeholder[Int](78))\n                          ) && bool32\n                        ) && (l36 == l26)\n                      ) && (l19 == l38)\n                    ) && (coll41 == coll29)\n                  ) && (l42 == l48)\n                ) && (OUTPUTS(placeholder[Int](79)).propositionBytes == coll11)\n              ) && (OUTPUTS(placeholder[Int](80)).value.toBigInt >= max(bi44, bi62))\n            ) && (OUTPUTS(placeholder[Int](81)).propositionBytes == coll34)\n          ) && (OUTPUTS(placeholder[Int](82)).value.toBigInt >= max(bi44, bi62 * coll13(placeholder[Int](83)).toBigInt / placeholder[BigInt](84)))\n        )} else { placeholder[Boolean](85) }\n      ) || if (((bool46 && (INPUTS.size == placeholder[Int](86))) && (OUTPUTS.size == placeholder[Int](87))) && (\n        CONTEXT.dataInputs.size == placeholder[Int](88)\n      )) {(\n        val l47 = if (bool21) { l38 - l19 } else { l26 - l36 }\n        val l48 = if (bool21) { l47 / l23 } else { l47 / l27 * l22 }\n        val tuple49 = INPUTS(placeholder[Int](89)).tokens.getOrElse(placeholder[Int](90), tuple2)\n        val box50 = OUTPUTS(placeholder[Int](91))\n        val coll51 = box50.tokens\n        val tuple52 = coll51.getOrElse(placeholder[Int](92), tuple2)\n        (\n          (((l48 == if (tuple49._1 == coll29) { tuple49._2 } else { placeholder[Long](93) }) && bool32) && (l25 == l20)) && (\n            (\n              ((((bool21 && (coll41 == coll30)) && (l42 == l47)) && (coll39.size == placeholder[Int](94))) && (box50.value >= l48 * l27 * l22)) && (\n                coll51.size == placeholder[Int](95)\n              )\n            ) || (\n              (((((!bool21) && (box33.value >= l47)) && (coll39.size == placeholder[Int](96))) && (tuple52._1 == coll30)) && (tuple52._2 >= l48 * l23)) && (\n                coll51.size == placeholder[Int](97)\n              )\n            )\n          )\n        ) && (box50.propositionBytes == coll11)\n      )} else { placeholder[Boolean](98) }\n    ) || if ((bool45 && (!bool46)) || (((l26 == placeholder[Long](99)) && (l20 == placeholder[Long](100))) && (l38 <= placeholder[Long](101)))) {\n      ((bool12 && (l36 >= l26 - placeholder[Long](102))) && (coll28 == tuple37._1)) && (l25 == l38)\n    } else { placeholder[Boolean](103) }\n  )\n}",
  "address": "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",
  "assets": [
    {
      "tokenId": "03faf2cb329f2e90d6d23b58d91bbb6c046aa143261cc21f52fbe2824bfcbf04",
      "index": 0,
      "amount": 101,
      "name": "SigUSD",
      "decimals": 2,
      "type": "EIP-004"
    }
  ],
  "additionalRegisters": {
    "R5": {
      "serializedValue": "0e240008cd039ed9a6df20fca487da2d3b58e822cdcc5bcfad4cca794eadf132afa3113f31a6",
      "sigmaType": "Coll[SByte]",
      "renderedValue": "0008cd039ed9a6df20fca487da2d3b58e822cdcc5bcfad4cca794eadf132afa3113f31a6"
    },
    "R6": {
      "serializedValue": "0e0130",
      "sigmaType": "Coll[SByte]",
      "renderedValue": "30"
    },
    "R8": {
      "serializedValue": "110a00000280f0c99af261e807e807d804c282839c040a80897a",
      "sigmaType": "Coll[SLong]",
      "renderedValue": "[0,0,1,1681776000000,500,500,300,566255777,5,1000000]"
    },
    "R7": {
      "serializedValue": "63c0ac80020008cd039ed9a6df20fca487da2d3b58e822cdcc5bcfad4cca794eadf132afa3113f31a6bbf43601777777e5051f8a6bc30827ee41dd39e04bca1dba35236b9a26b494e0bac84f33e907060e2343414c4c5f66616b655553445f4552475f315f323032322d31322d32305f7065725f310e000e01306380e1eb170008cd0358ca7a890f5c237eca72e0d7f8290e808b089690504a0c47805cf489767ea53ec6c0360000f875b3cc3016a06b75ca5941a3440fc7e2141256869047a15f2e687698904930011109000280e0a3cda561e807e807d804020a80897a1a02240008cd039ed9a6df20fca487da2d3b58e822cdcc5bcfad4cca794eadf132afa3113f31a6240008cd039ed9a6df20fca487da2d3b58e822cdcc5bcfad4cca794eadf132afa3113f31a6535558c39e5834cf795b41f1635a36c7b7c5a84f9cb34f2f5d33a35a03fe882800",
      "sigmaType": null,
      "renderedValue": null
    },
    "R9": {
      "serializedValue": "08cd038664b06974484d373ef8e32219130a819ff1e5bb565b5efa8deada20f1100c94",
      "sigmaType": "SSigmaProp",
      "renderedValue": "038664b06974484d373ef8e32219130a819ff1e5bb565b5efa8deada20f1100c94"
    },
    "R4": {
      "serializedValue": "0e2c43616c6c5f455f5369675553445f4552475f3536363235353737375f323032332d30342d31385f7065725f31",
      "sigmaType": "Coll[SByte]",
      "renderedValue": "43616c6c5f455f5369675553445f4552475f3536363235353737375f323032332d30342d31385f7065725f31"
    }
  },
  "spentTransactionId": "cd181b10895fc96d4054f8e6f75553baeafffb7078c6e9e9eca11cc812078adf",
  "mainChain": true
}