Token

0285eba10355dd60dbfb9ddf0c485ce6bcd9d4ca4de1317b3fa13d1ae07a7986

ID
0285eba10355dd60dbfb9ddf0c485ce6bcd9d4ca4de1317b3fa13d1ae07a7986
Name
CALL_A_SigUSD_ERG_691041666_2023-04-11_per_1
Emission amount
2
Decimals
0
Description
0
Type
EIP-004
Issuer Box
{
  "boxId": "0285eba10355dd60dbfb9ddf0c485ce6bcd9d4ca4de1317b3fa13d1ae07a7986",
  "transactionId": "3b80ad69825f41c44893a0380f63d00a061a0828b360d27bbe6f9acbc5052bf1",
  "blockId": "02742ef6924b5fa44713a84f7f94a89ba9b27036e4404395773e96a3423bc953",
  "value": 4200000,
  "index": 0,
  "globalIndex": 25472779,
  "creationHeight": 915297,
  "settlementHeight": 915300,
  "ergoTree": "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",
  "ergoTreeConstants": "0: 0\n1: 0\n2: 1\n3: Coll(3,-6,-14,-53,50,-97,46,-112,-42,-46,59,88,-39,27,-69,108,4,106,-95,67,38,28,-62,31,82,-5,-30,-126,75,-4,-65,4)\n4: 0\n5: 0\n6: false\n7: 0\n8: 1\n9: 2\n10: 0\n11: 2100000\n12: 1\n13: 100\n14: 1\n15: Coll(48)\n16: 1\n17: 1\n18: false\n19: 0\n20: 6\n21: CBigInt(1000)\n22: CBigInt(177584)\n23: 0\n24: 0\n25: CBigInt(1000000)\n26: 86400000\n27: 2\n28: 1\n29: 86400000\n30: 2\n31: 1\n32: 1\n33: false\n34: 8\n35: false\n36: 14400000\n37: 2\n38: 0\n39: 0\n40: 0\n41: 0\n42: 0\n43: 3600000\n44: 1897\n45: 14400000\n46: 3795\n47: 86400000\n48: 9295\n49: 172800000\n50: 13145\n51: 432000000\n52: 20785\n53: 864000000\n54: 29394\n55: 1728000000\n56: 41569\n57: 2592000000\n58: 50912\n59: 5184000000\n60: 72000\n61: 12960000000\n62: 113842\n63: 20736000000\n64: 144000\n65: 31536000000\n66: 177584\n67: 47304000000\n68: 217495\n69: 63072000000\n70: 251141\n71: 94608000000\n72: 307584\n73: 1\n74: 0\n75: 1\n76: 0\n77: 1\n78: CBigInt(4)\n79: 3\n80: CBigInt(10)\n81: CBigInt(0)\n82: 4\n83: 5\n84: 10000\n85: 0\n86: Coll(1,29,51,100,-34,7,-27,-94,111,12,78,-17,8,82,-51,-37,56,112,57,-87,33,-73,21,78,-13,-54,-78,44,110,-38,-120,127)\n87: 2\n88: 2\n89: 3\n90: 3\n91: 7\n92: false\n93: 2\n94: 4\n95: 0\n96: 2\n97: 2\n98: false\n99: false",
  "ergoTreeScript": "{\n  val coll1 = SELF.tokens\n  val tuple2 = (Coll[Byte](), placeholder[Long](0))\n  val tuple3 = coll1.getOrElse(placeholder[Int](1), tuple2)\n  val l4 = tuple3._2\n  val tuple5 = coll1.getOrElse(placeholder[Int](2), tuple2)\n  val l6 = tuple5._2\n  val coll7 = placeholder[Coll[Byte]](3)\n  val bool8 = if ((l4 > placeholder[Long](4)) && (l6 > placeholder[Long](5))) {\n    ((tuple3._1 == coll7) && (tuple5._1 == SELF.R7[Box].get.id)) && (SELF.propositionBytes == SELF.R7[Box].get.propositionBytes)\n  } else { placeholder[Boolean](6) }\n  val box9 = if (bool8) { SELF.R7[Box].get } else { SELF }\n  val prop10 = box9.R9[SigmaProp].get\n  val bool11 = !bool8\n  val box12 = OUTPUTS(placeholder[Int](7))\n  val coll13 = box12.propositionBytes\n  val coll14 = SELF.propositionBytes\n  val box15 = OUTPUTS(placeholder[Int](8))\n  val coll16 = box9.R8[Coll[Long]].get\n  val l17 = coll16(placeholder[Int](9))\n  val l18 = CONTEXT.preHeader.timestamp\n  val l19 = l17 - l18\n  val coll20 = box12.tokens\n  val tuple21 = coll20.getOrElse(placeholder[Int](10), tuple2)\n  val l22 = tuple21._2\n  val l23 = box12.value\n  val bool24 = l23 >= placeholder[Long](11)\n  val l25 = coll16(placeholder[Int](12))\n  val l26 = l25 * placeholder[Long](13)\n  val tuple27 = coll20.getOrElse(placeholder[Int](14), tuple2)\n  val l28 = tuple27._2\n  val coll29 = tuple21._1\n  val coll30 = box9.id\n  val coll31 = placeholder[Coll[Byte]](15)\n  val bool32 = if (coll13 == coll14) {\n    (\n      (\n        (\n          ((((bool24 && (coll29 == coll7)) && (l22 >= placeholder[Long](16))) && (tuple27._1 == coll30)) && (l28 >= placeholder[Long](17))) && (\n            box12.R4[Coll[Byte]].get == SELF.R4[Coll[Byte]].get\n          )\n        ) && (box12.R5[Coll[Byte]].get == coll31)\n      ) && (box12.R6[Coll[Byte]].get == coll31)\n    ) && (box12.R7[Box].get == box9)\n  } else { placeholder[Boolean](18) }\n  val coll33 = box9.R5[Coll[Byte]].get\n  val bool34 = l18 <= l17\n  val tuple35 = box15.tokens.getOrElse(placeholder[Int](19), tuple2)\n  val coll36 = tuple35._1\n  val l37 = tuple35._2\n  val coll38 = prop10.propBytes\n  val l39 = coll16(placeholder[Int](20))\n  val bi40 = placeholder[BigInt](21)\n  val bi41 = placeholder[BigInt](22)\n  val bool42 = coll16(placeholder[Int](23)) == placeholder[Long](24)\n  val bi43 = placeholder[BigInt](25)\n  val bool44 = l18 > l17\n  val bool45 = if (bool42) { (bool8 && bool44) && (l18 < l17 + placeholder[Long](26)) } else { bool8 && bool34 }\n  prop10 && sigmaProp(((bool11 && (OUTPUTS.size == placeholder[Int](27))) && (coll13 != coll14)) && (box15.propositionBytes != coll14)) || sigmaProp(\n    (\n      (\n        if ((bool11 && (INPUTS.size == placeholder[Int](28))) && (l19 >= placeholder[Long](29))) {\n          (\n            (\n              bool32 && if (coll20.size == placeholder[Int](30)) {\n                ((bool24 && (l22 == l4)) && (l28 == l4 - placeholder[Long](31) / l26 + placeholder[Long](32))) && (box12.R7[Box].get == SELF)\n              } else { placeholder[Boolean](33) }\n            ) && (box15.propositionBytes == coll33)\n          ) && (box15.value >= coll16(placeholder[Int](34)))\n        } else { placeholder[Boolean](35) } || if (((!(bool34 && (l18 > l17 - placeholder[Long](36)))) && (INPUTS.size == placeholder[Int](37))) && (\n          CONTEXT.dataInputs.size > placeholder[Int](38)\n        )) {(\n          val box46 = CONTEXT.dataInputs(placeholder[Int](39))\n          val l47 = l6 - l28\n          val l48 = box46.R4[Long].get\n          val l49 = max(placeholder[Long](40), l48 - l39 * l25)\n          val bi50 = l39.toBigInt\n          val coll51 = Coll[(Long, Long)](\n            (placeholder[Long](41), placeholder[Long](42)), (placeholder[Long](43), placeholder[Long](44)), (placeholder[Long](45), placeholder[Long](46)), (\n              placeholder[Long](47), placeholder[Long](48)\n            ), (placeholder[Long](49), placeholder[Long](50)), (placeholder[Long](51), placeholder[Long](52)), (placeholder[Long](53), placeholder[Long](54)), (\n              placeholder[Long](55), placeholder[Long](56)\n            ), (placeholder[Long](57), placeholder[Long](58)), (placeholder[Long](59), placeholder[Long](60)), (placeholder[Long](61), placeholder[Long](62)), (\n              placeholder[Long](63), placeholder[Long](64)\n            ), (placeholder[Long](65), placeholder[Long](66)), (placeholder[Long](67), placeholder[Long](68)), (placeholder[Long](69), placeholder[Long](70)), (\n              placeholder[Long](71), placeholder[Long](72)\n            )\n          )\n          val i52 = coll51.map({(tuple52: (Long, Long)) => if (tuple52._1 >= l19) { placeholder[Long](73) } else { placeholder[Long](74) } }).indexOf(\n            placeholder[Long](75), placeholder[Int](76)\n          )\n          val tuple53 = coll51(i52 - placeholder[Int](77))\n          val bi54 = tuple53._2.toBigInt\n          val tuple55 = coll51(i52)\n          val bi56 = tuple53._1.toBigInt\n          val bi57 = bi54 + tuple55._2.toBigInt - bi54 * l19.toBigInt - bi56 / tuple55._1.toBigInt - bi56\n          val bi58 = placeholder[BigInt](78) * coll16(placeholder[Int](79)).toBigInt * l25.toBigInt * bi50 * bi57 / placeholder[BigInt](80) * bi40 * bi41\n          val bi59 = l48.toBigInt\n          val bi60 = max(placeholder[BigInt](81), bi58 - bi58 * coll16(placeholder[Int](82)).toBigInt * max(bi59 - bi50, bi50 - bi59) / bi40 * bi50)\n          val bi61 = if (bool42) { l49.toBigInt + bi60 } else { l49.toBigInt + bi60 + bi60 * coll16(placeholder[Int](83)).toBigInt * bi57 / bi40 * bi41 }\n          val bi62 = l47.toBigInt * bi61 - bi61 % placeholder[Long](84).toBigInt\n          (\n            (\n              (\n                (\n                  (\n                    ((((box46.tokens(placeholder[Int](85))._1 == placeholder[Coll[Byte]](86)) && bool32) && (l23 == SELF.value)) && (l22 == l4)) && (\n                      coll36 == coll30\n                    )\n                  ) && (l37 == l47)\n                ) && (OUTPUTS(placeholder[Int](87)).propositionBytes == coll38)\n              ) && (OUTPUTS(placeholder[Int](88)).value.toBigInt >= max(bi43, bi62))\n            ) && (OUTPUTS(placeholder[Int](89)).propositionBytes == coll33)\n          ) && (OUTPUTS(placeholder[Int](90)).value.toBigInt >= max(bi43, bi62 * coll16(placeholder[Int](91)).toBigInt / bi40))\n        )} else { placeholder[Boolean](92) }\n      ) || if (((bool45 && (INPUTS.size == placeholder[Int](93))) && (OUTPUTS.size == placeholder[Int](94))) && (\n        CONTEXT.dataInputs.size == placeholder[Int](95)\n      )) {(\n        val l46 = l4 - l22\n        ((((bool32 && (l28 == l6)) && (coll36 == coll7)) && (l37 == l46)) && (OUTPUTS(placeholder[Int](96)).propositionBytes == coll38)) && (\n          OUTPUTS(placeholder[Int](97)).value >= l46 / l26 * l39 * l25\n        )\n      )} else { placeholder[Boolean](98) }\n    ) || if (bool44 && (!bool45)) { ((coll13 == coll38) && (coll29 == coll7)) && (l22 == l4) } else { placeholder[Boolean](99) }\n  )\n}",
  "address": "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",
  "assets": [
    {
      "tokenId": "03faf2cb329f2e90d6d23b58d91bbb6c046aa143261cc21f52fbe2824bfcbf04",
      "index": 0,
      "amount": 101,
      "name": "SigUSD",
      "decimals": 2,
      "type": "EIP-004"
    }
  ],
  "additionalRegisters": {
    "R5": {
      "serializedValue": "0e240008cd039ed9a6df20fca487da2d3b58e822cdcc5bcfad4cca794eadf132afa3113f31a6",
      "sigmaType": "Coll[SByte]",
      "renderedValue": "0008cd039ed9a6df20fca487da2d3b58e822cdcc5bcfad4cca794eadf132afa3113f31a6"
    },
    "R6": {
      "serializedValue": "0e0130",
      "sigmaType": "Coll[SByte]",
      "renderedValue": "30"
    },
    "R8": {
      "serializedValue": "1109020280e0e5d9ed61e807e807d80484d68393050a80897a",
      "sigmaType": "Coll[SLong]",
      "renderedValue": "[1,1,1681171200000,500,500,300,691041666,5,1000000]"
    },
    "R7": {
      "serializedValue": "63c0ac80020008cd039ed9a6df20fca487da2d3b58e822cdcc5bcfad4cca794eadf132afa3113f31a6bbf43601777777e5051f8a6bc30827ee41dd39e04bca1dba35236b9a26b494e0bac84f33e907060e2343414c4c5f66616b655553445f4552475f315f323032322d31322d32305f7065725f310e000e01306380e1eb170008cd0358ca7a890f5c237eca72e0d7f8290e808b089690504a0c47805cf489767ea53ec6c0360000f875b3cc3016a06b75ca5941a3440fc7e2141256869047a15f2e687698904930011109000280e0a3cda561e807e807d804020a80897a1a02240008cd039ed9a6df20fca487da2d3b58e822cdcc5bcfad4cca794eadf132afa3113f31a6240008cd039ed9a6df20fca487da2d3b58e822cdcc5bcfad4cca794eadf132afa3113f31a6535558c39e5834cf795b41f1635a36c7b7c5a84f9cb34f2f5d33a35a03fe882800",
      "sigmaType": null,
      "renderedValue": null
    },
    "R9": {
      "serializedValue": "08cd02c35a808c1c713fc1ae169e33da7492eee8f913a2045a7d56a3ca3103b5525ff3",
      "sigmaType": "SSigmaProp",
      "renderedValue": "02c35a808c1c713fc1ae169e33da7492eee8f913a2045a7d56a3ca3103b5525ff3"
    },
    "R4": {
      "serializedValue": "0e2c43414c4c5f415f5369675553445f4552475f3639313034313636365f323032332d30342d31315f7065725f31",
      "sigmaType": "Coll[SByte]",
      "renderedValue": "43414c4c5f415f5369675553445f4552475f3639313034313636365f323032332d30342d31315f7065725f31"
    }
  },
  "spentTransactionId": "e334c8caa32d957350c015b6c8bee64b4d33165c1ae0bc23cd79bc4f4f976e53",
  "mainChain": true
}